/************************************************** versys eda example--**** inverter.v ****----------- ------------Verilog module of inverter------------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ module inverter(din,dout); input din; output dout; assign dout = ~din; endmodule