/************************************************** versys eda example--**** counter.h ****------------ --------------Header file of counter--------------- Copyright (c) 1996-2007, by all Contributions. All rights reserved. *******************2007/11/22 by ogu, lexim,inc.***/ #include "systemc.h" SC_MODULE(counter) { sc_in clock; sc_in load; sc_in clear; sc_in > din; sc_out > dout; int countval; void onetwothree(); SC_CTOR(counter) { SC_METHOD(onetwothree); sensitive_pos (clock); } };